This repository showcases a collection of digital VLSI design projects completed as part of the EECS4612: Digital VLSI course at York University. The projects span schematic design, layout creation, functional and post-layout simulation, and full ASIC design flows using industry-standard EDA tools such as Cadence Virtuoso, Genus, and Innovus.
Each project folder contains its associated schematic/layout screenshots, simulations, reports, and images.
- Design and implementation of a two-input NAND gate.
- Includes: schematic, layout (DRC/LVS clean), symbol, testbench schematic, and simulation.
- Tools: Cadence Virtuoso.
- Schematic and layout of a compound CMOS gate.
- Post-layout simulations across 3 views:
- Schematic (ideal)
- Extracted (no parasitics)
- RC Extracted (with parasitics)
- Includes performance metrics like propagation delay, power dissipation, and max frequency.
These projects demonstrate the complete RTL-to-GDSII design flow using Cadence Genus and Innovus tools. They include synthesis, place and route (PnR), and physical design implementation.
-
16×24-Bit Multiplier (Project 3)
- Tools: Cadence Xcelium (simulation), Genus (synthesis), Innovus (PnR)
- Features:
- Multi-stage pipelining
- Full RTL-to-GDSII flow
- Timing, power, and area reports
- Final GDSII layout and import into Virtuoso
-
32-Bit ALU (Term Project)
- Tools: Genus, Innovus, and Virtuoso
- Features:
- Bit-slice structured design (1-bit ALU × 32)
- Two implementations: modular (bit-slice) and behavioral
- Functional simulation and verification
- Layout of core and full chip with pad frame
- DRC, LVS, and post-layout timing analysis
- Exported final GDS files
- Cadence Virtuoso (Schematic, Layout, ADE-L Simulation)
- Cadence Genus (RTL Synthesis)
- Cadence Innovus (Place & Route)
- CMOS Design Principles: Manual transistor-level design using λ-based design rules.
- Design and simulate digital logic gates at the transistor level.
- Perform DRC/LVS checks and interpret post-layout simulation results.
- Understand and apply concepts of parasitic extraction and its impact on circuit performance.
- Experience a complete ASIC design flow from Verilog RTL to layout.
Each project folder typically contains:
*_Report.pdf
: Project report summarizing design steps and simulation results..png
images: Screenshots of schematic, layout, symbol, and simulation waveforms..pdf
design briefs and project descriptions.- Configuration views and ADE-L test setups (if exported).
- EECS4612: Digital VLSI Design, Lassonde School of Engineering, York University
- CMOS Digital Integrated Circuits by Kang & Leblebici
- Cadence Design System Documentation
For any questions, feel free to reach out:
Rami Omer
GitHub: @ramiomer94
"Good chips are designed twice: once in your mind, once in the layout."