Skip to content

Commit 90e3504

Browse files
committed
vendor.intel: implement add_settings (QSF) and add_constraints (SDC) overrides.
1 parent f1473e4 commit 90e3504

File tree

1 file changed

+5
-0
lines changed

1 file changed

+5
-0
lines changed

nmigen/vendor/intel.py

Lines changed: 5 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -19,6 +19,8 @@ class IntelPlatform(TemplatedPlatform):
1919
``NMIGEN_ENV_Quartus``, if present.
2020
2121
Available overrides:
22+
* ``add_settings``: inserts commands at the end of the QSF file.
23+
* ``add_constraints``: inserts commands at the end of the SDC file.
2224
* ``nproc``: sets the number of cores used by all tools.
2325
* ``quartus_map_opts``: adds extra options for ``quartus_map``.
2426
* ``quartus_fit_opts``: adds extra options for ``quartus_fit``.
@@ -103,6 +105,8 @@ class IntelPlatform(TemplatedPlatform):
103105
{% endfor %}
104106
105107
set_global_assignment -name GENERATE_RBF_FILE ON
108+
109+
{{get_override("add_settings")|default("# (add_settings placeholder)")}}
106110
""",
107111
"{{name}}.sdc": r"""
108112
{% for net_signal, port_signal, frequency in platform.iter_clock_constraints() -%}
@@ -112,6 +116,7 @@ class IntelPlatform(TemplatedPlatform):
112116
create_clock -name {{net_signal.name|tcl_quote}} -period {{1000000000/frequency}} [get_nets {{net_signal|hierarchy("|")|tcl_quote}}]
113117
{% endif %}
114118
{% endfor %}
119+
{{get_override("add_constraints")|default("# (add_constraints placeholder)")}}
115120
""",
116121
"{{name}}.srf": r"""
117122
{% for warning in platform.quartus_suppressed_warnings %}

0 commit comments

Comments
 (0)