Skip to content

Commit f91e393

Browse files
committed
Update READMEs with VADJ info and modify the IOSTANDARD from LVCMOS25 to LVCMOS18 in constraint for ad7134_fmc
1 parent a321331 commit f91e393

File tree

2 files changed

+131
-38
lines changed

2 files changed

+131
-38
lines changed
Lines changed: 129 additions & 35 deletions
Original file line numberDiff line numberDiff line change
@@ -1,50 +1,144 @@
11
###############################################################################
2-
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
2+
## Copyright (C) 2019-2025 Analog Devices, Inc. All rights reserved.
33
### SPDX short identifier: ADIBSD
44
###############################################################################
55

66
# ad713x SPI configuration interface
77

8-
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad713x_spi_sdi]; ## FMC_LPC_LA03_P
9-
set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports ad713x_spi_sdo]; ## FMC_LPC_LA04_N
10-
set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports ad713x_spi_sclk]; ## FMC_LPC_LA01_P_CC
11-
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS25} [get_ports ad713x_spi_cs[0]]; ## FMC_LPC_LA05_P
12-
set_property -dict {PACKAGE_PIN K18 IOSTANDARD LVCMOS25} [get_ports ad713x_spi_cs[1]]; ## FMC_LPC_LA05_N
8+
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS18} [get_ports ad713x_spi_sdi]; ## FMC_LPC_LA03_P
9+
set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS18} [get_ports ad713x_spi_sdo]; ## FMC_LPC_LA04_N
10+
set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS18} [get_ports ad713x_spi_sclk]; ## FMC_LPC_LA01_P_CC
11+
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS18} [get_ports ad713x_spi_cs[0]]; ## FMC_LPC_LA05_P
12+
set_property -dict {PACKAGE_PIN K18 IOSTANDARD LVCMOS18} [get_ports ad713x_spi_cs[1]]; ## FMC_LPC_LA05_N
1313

1414
# ad713x data interface
1515

16-
set_property -dict {PACKAGE_PIN L18 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad713x_dclk]; ## FMC_LPC_CLK0_M2C_P
17-
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad713x_din[0]]; ## FMC_LPC_LA00_N_CC
18-
set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad713x_din[1]]; ## FMC_LPC_LA06_N
19-
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad713x_din[2]]; ## FMC_LPC_LA02_P
20-
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad713x_din[3]]; ## FMC_LPC_LA02_N
21-
set_property -dict {PACKAGE_PIN J21 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad713x_din[4]]; ## FMC_LPC_LA08_P
22-
set_property -dict {PACKAGE_PIN J22 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad713x_din[5]]; ## FMC_LPC_LA08_N
23-
set_property -dict {PACKAGE_PIN R20 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad713x_din[6]]; ## FMC_LPC_LA09_P
24-
set_property -dict {PACKAGE_PIN R21 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad713x_din[7]]; ## FMC_LPC_LA09_N
25-
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25} [get_ports ad713x_odr]; ## FMC_LPC_LA00_P_CC
16+
set_property -dict {PACKAGE_PIN L18 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports ad713x_dclk]; ## FMC_LPC_CLK0_M2C_P
17+
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports ad713x_din[0]]; ## FMC_LPC_LA00_N_CC
18+
set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports ad713x_din[1]]; ## FMC_LPC_LA06_N
19+
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports ad713x_din[2]]; ## FMC_LPC_LA02_P
20+
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports ad713x_din[3]]; ## FMC_LPC_LA02_N
21+
set_property -dict {PACKAGE_PIN J21 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports ad713x_din[4]]; ## FMC_LPC_LA08_P
22+
set_property -dict {PACKAGE_PIN J22 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports ad713x_din[5]]; ## FMC_LPC_LA08_N
23+
set_property -dict {PACKAGE_PIN R20 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports ad713x_din[6]]; ## FMC_LPC_LA09_P
24+
set_property -dict {PACKAGE_PIN R21 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports ad713x_din[7]]; ## FMC_LPC_LA09_N
25+
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS18} [get_ports ad713x_odr]; ## FMC_LPC_LA00_P_CC
2626

2727
# ad713x GPIO lines
2828

29-
set_property -dict {PACKAGE_PIN J20 IOSTANDARD LVCMOS25} [get_ports ad713x_resetn[0]]; ## FMC_LPC_LA16_P
30-
set_property -dict {PACKAGE_PIN K21 IOSTANDARD LVCMOS25} [get_ports ad713x_resetn[1]]; ## FMC_LPC_LA16_N
31-
set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS25} [get_ports ad713x_pdn[0]]; ## FMC_LPC_LA07_P
32-
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS25} [get_ports ad713x_pdn[1]]; ## FMC_LPC_LA07_N
33-
set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS25} [get_ports ad713x_mode[0]]; ## FMC_LPC_LA04_P
34-
set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS25} [get_ports ad713x_mode[1]]; ## FMC_LPC_LA03_N
35-
set_property -dict {PACKAGE_PIN R19 IOSTANDARD LVCMOS25} [get_ports ad713x_gpio[0]]; ## FMC_LPC_LA10_P
36-
set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS25} [get_ports ad713x_gpio[1]]; ## FMC_LPC_LA10_N
37-
set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS25} [get_ports ad713x_gpio[2]]; ## FMC_LPC_LA11_P
38-
set_property -dict {PACKAGE_PIN N18 IOSTANDARD LVCMOS25} [get_ports ad713x_gpio[3]]; ## FMC_LPC_LA11_N
39-
set_property -dict {PACKAGE_PIN P20 IOSTANDARD LVCMOS25} [get_ports ad713x_gpio[4]]; ## FMC_LPC_LA12_P
40-
set_property -dict {PACKAGE_PIN P21 IOSTANDARD LVCMOS25} [get_ports ad713x_gpio[5]]; ## FMC_LPC_LA12_N
41-
set_property -dict {PACKAGE_PIN L17 IOSTANDARD LVCMOS25} [get_ports ad713x_gpio[6]]; ## FMC_LPC_LA13_P
42-
set_property -dict {PACKAGE_PIN M17 IOSTANDARD LVCMOS25} [get_ports ad713x_gpio[7]]; ## FMC_LPC_LA13_N
43-
set_property -dict {PACKAGE_PIN K19 IOSTANDARD LVCMOS25} [get_ports ad713x_dclkio[0]]; ## FMC_LPC_LA14_P
44-
set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS25} [get_ports ad713x_dclkio[1]]; ## FMC_LPC_LA15_P
45-
set_property -dict {PACKAGE_PIN L21 IOSTANDARD LVCMOS25} [get_ports ad713x_pinbspi]; ## FMC_LPC_LA06_P
46-
set_property -dict {PACKAGE_PIN K20 IOSTANDARD LVCMOS25} [get_ports ad713x_dclkmode]; ## FMC_LPC_LA14_N
29+
set_property -dict {PACKAGE_PIN J20 IOSTANDARD LVCMOS18} [get_ports ad713x_resetn[0]]; ## FMC_LPC_LA16_P
30+
set_property -dict {PACKAGE_PIN K21 IOSTANDARD LVCMOS18} [get_ports ad713x_resetn[1]]; ## FMC_LPC_LA16_N
31+
set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS18} [get_ports ad713x_pdn[0]]; ## FMC_LPC_LA07_P
32+
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS18} [get_ports ad713x_pdn[1]]; ## FMC_LPC_LA07_N
33+
set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS18} [get_ports ad713x_mode[0]]; ## FMC_LPC_LA04_P
34+
set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS18} [get_ports ad713x_mode[1]]; ## FMC_LPC_LA03_N
35+
set_property -dict {PACKAGE_PIN R19 IOSTANDARD LVCMOS18} [get_ports ad713x_gpio[0]]; ## FMC_LPC_LA10_P
36+
set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS18} [get_ports ad713x_gpio[1]]; ## FMC_LPC_LA10_N
37+
set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS18} [get_ports ad713x_gpio[2]]; ## FMC_LPC_LA11_P
38+
set_property -dict {PACKAGE_PIN N18 IOSTANDARD LVCMOS18} [get_ports ad713x_gpio[3]]; ## FMC_LPC_LA11_N
39+
set_property -dict {PACKAGE_PIN P20 IOSTANDARD LVCMOS18} [get_ports ad713x_gpio[4]]; ## FMC_LPC_LA12_P
40+
set_property -dict {PACKAGE_PIN P21 IOSTANDARD LVCMOS18} [get_ports ad713x_gpio[5]]; ## FMC_LPC_LA12_N
41+
set_property -dict {PACKAGE_PIN L17 IOSTANDARD LVCMOS18} [get_ports ad713x_gpio[6]]; ## FMC_LPC_LA13_P
42+
set_property -dict {PACKAGE_PIN M17 IOSTANDARD LVCMOS18} [get_ports ad713x_gpio[7]]; ## FMC_LPC_LA13_N
43+
set_property -dict {PACKAGE_PIN K19 IOSTANDARD LVCMOS18} [get_ports ad713x_dclkio[0]]; ## FMC_LPC_LA14_P
44+
set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS18} [get_ports ad713x_dclkio[1]]; ## FMC_LPC_LA15_P
45+
set_property -dict {PACKAGE_PIN L21 IOSTANDARD LVCMOS18} [get_ports ad713x_pinbspi]; ## FMC_LPC_LA06_P
46+
set_property -dict {PACKAGE_PIN K20 IOSTANDARD LVCMOS18} [get_ports ad713x_dclkmode]; ## FMC_LPC_LA14_N
4747

4848
# ad713x reference clock (not used by default)
4949

50-
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports ad713x_sdpclk]; ## FMC_LPC_LA01_N_CC
50+
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS18} [get_ports ad713x_sdpclk]; ## FMC_LPC_LA01_N_CC
51+
52+
# set IOSTANDARD according to VADJ 1.8V
53+
54+
# constraints
55+
# hdmi
56+
57+
set_property -dict {PACKAGE_PIN W18 IOSTANDARD LVCMOS18} [get_ports hdmi_out_clk]
58+
set_property -dict {PACKAGE_PIN W17 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_vsync]
59+
set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_hsync]
60+
set_property -dict {PACKAGE_PIN U16 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data_e]
61+
set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[0]]
62+
set_property -dict {PACKAGE_PIN AA13 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[1]]
63+
set_property -dict {PACKAGE_PIN AA14 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[2]]
64+
set_property -dict {PACKAGE_PIN Y14 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[3]]
65+
set_property -dict {PACKAGE_PIN AB15 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[4]]
66+
set_property -dict {PACKAGE_PIN AB16 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[5]]
67+
set_property -dict {PACKAGE_PIN AA16 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[6]]
68+
set_property -dict {PACKAGE_PIN AB17 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[7]]
69+
set_property -dict {PACKAGE_PIN AA17 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[8]]
70+
set_property -dict {PACKAGE_PIN Y15 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[9]]
71+
set_property -dict {PACKAGE_PIN W13 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[10]]
72+
set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[11]]
73+
set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[12]]
74+
set_property -dict {PACKAGE_PIN U17 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[13]]
75+
set_property -dict {PACKAGE_PIN V14 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[14]]
76+
set_property -dict {PACKAGE_PIN V13 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[15]]
77+
78+
# spdif
79+
80+
set_property -dict {PACKAGE_PIN U15 IOSTANDARD LVCMOS18} [get_ports spdif]
81+
82+
# i2s
83+
84+
set_property -dict {PACKAGE_PIN AB2 IOSTANDARD LVCMOS18} [get_ports i2s_mclk]
85+
set_property -dict {PACKAGE_PIN AA6 IOSTANDARD LVCMOS18} [get_ports i2s_bclk]
86+
set_property -dict {PACKAGE_PIN Y6 IOSTANDARD LVCMOS18} [get_ports i2s_lrclk]
87+
set_property -dict {PACKAGE_PIN Y8 IOSTANDARD LVCMOS18} [get_ports i2s_sdata_out]
88+
set_property -dict {PACKAGE_PIN AA7 IOSTANDARD LVCMOS18} [get_ports i2s_sdata_in]
89+
90+
# iic
91+
92+
set_property -dict {PACKAGE_PIN R7 IOSTANDARD LVCMOS18} [get_ports iic_scl]
93+
set_property -dict {PACKAGE_PIN U7 IOSTANDARD LVCMOS18} [get_ports iic_sda]
94+
set_property -dict {PACKAGE_PIN AA18 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports iic_mux_scl[1]]
95+
set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports iic_mux_sda[1]]
96+
set_property -dict {PACKAGE_PIN AB4 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports iic_mux_scl[0]]
97+
set_property -dict {PACKAGE_PIN AB5 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports iic_mux_sda[0]]
98+
99+
# otg
100+
101+
set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS18} [get_ports otg_vbusoc]
102+
103+
# gpio (switches, leds and such)
104+
105+
set_property -dict {PACKAGE_PIN P16 IOSTANDARD LVCMOS18} [get_ports gpio_bd[0]] ; ## BTNC
106+
set_property -dict {PACKAGE_PIN R16 IOSTANDARD LVCMOS18} [get_ports gpio_bd[1]] ; ## BTND
107+
set_property -dict {PACKAGE_PIN N15 IOSTANDARD LVCMOS18} [get_ports gpio_bd[2]] ; ## BTNL
108+
set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS18} [get_ports gpio_bd[3]] ; ## BTNR
109+
set_property -dict {PACKAGE_PIN T18 IOSTANDARD LVCMOS18} [get_ports gpio_bd[4]] ; ## BTNU
110+
set_property -dict {PACKAGE_PIN U10 IOSTANDARD LVCMOS18} [get_ports gpio_bd[5]] ; ## OLED-DC
111+
set_property -dict {PACKAGE_PIN U9 IOSTANDARD LVCMOS18} [get_ports gpio_bd[6]] ; ## OLED-RES
112+
set_property -dict {PACKAGE_PIN AB12 IOSTANDARD LVCMOS18} [get_ports gpio_bd[7]] ; ## OLED-SCLK
113+
set_property -dict {PACKAGE_PIN AA12 IOSTANDARD LVCMOS18} [get_ports gpio_bd[8]] ; ## OLED-SDIN
114+
set_property -dict {PACKAGE_PIN U11 IOSTANDARD LVCMOS18} [get_ports gpio_bd[9]] ; ## OLED-VBAT
115+
set_property -dict {PACKAGE_PIN U12 IOSTANDARD LVCMOS18} [get_ports gpio_bd[10]] ; ## OLED-VDD
116+
117+
set_property -dict {PACKAGE_PIN F22 IOSTANDARD LVCMOS18} [get_ports gpio_bd[11]] ; ## SW0
118+
set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS18} [get_ports gpio_bd[12]] ; ## SW1
119+
set_property -dict {PACKAGE_PIN H22 IOSTANDARD LVCMOS18} [get_ports gpio_bd[13]] ; ## SW2
120+
set_property -dict {PACKAGE_PIN F21 IOSTANDARD LVCMOS18} [get_ports gpio_bd[14]] ; ## SW3
121+
set_property -dict {PACKAGE_PIN H19 IOSTANDARD LVCMOS18} [get_ports gpio_bd[15]] ; ## SW4
122+
set_property -dict {PACKAGE_PIN H18 IOSTANDARD LVCMOS18} [get_ports gpio_bd[16]] ; ## SW5
123+
set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS18} [get_ports gpio_bd[17]] ; ## SW6
124+
set_property -dict {PACKAGE_PIN M15 IOSTANDARD LVCMOS18} [get_ports gpio_bd[18]] ; ## SW7
125+
126+
set_property -dict {PACKAGE_PIN T22 IOSTANDARD LVCMOS18} [get_ports gpio_bd[19]] ; ## LD0
127+
set_property -dict {PACKAGE_PIN T21 IOSTANDARD LVCMOS18} [get_ports gpio_bd[20]] ; ## LD1
128+
set_property -dict {PACKAGE_PIN U22 IOSTANDARD LVCMOS18} [get_ports gpio_bd[21]] ; ## LD2
129+
set_property -dict {PACKAGE_PIN U21 IOSTANDARD LVCMOS18} [get_ports gpio_bd[22]] ; ## LD3
130+
set_property -dict {PACKAGE_PIN V22 IOSTANDARD LVCMOS18} [get_ports gpio_bd[23]] ; ## LD4
131+
set_property -dict {PACKAGE_PIN W22 IOSTANDARD LVCMOS18} [get_ports gpio_bd[24]] ; ## LD5
132+
set_property -dict {PACKAGE_PIN U19 IOSTANDARD LVCMOS18} [get_ports gpio_bd[25]] ; ## LD6
133+
set_property -dict {PACKAGE_PIN U14 IOSTANDARD LVCMOS18} [get_ports gpio_bd[26]] ; ## LD7
134+
135+
set_property -dict {PACKAGE_PIN H15 IOSTANDARD LVCMOS18} [get_ports gpio_bd[27]] ; ## XADC-GIO0
136+
set_property -dict {PACKAGE_PIN R15 IOSTANDARD LVCMOS18} [get_ports gpio_bd[28]] ; ## XADC-GIO1
137+
set_property -dict {PACKAGE_PIN K15 IOSTANDARD LVCMOS18} [get_ports gpio_bd[29]] ; ## XADC-GIO2
138+
set_property -dict {PACKAGE_PIN J15 IOSTANDARD LVCMOS18} [get_ports gpio_bd[30]] ; ## XADC-GIO3
139+
140+
set_property -dict {PACKAGE_PIN G17 IOSTANDARD LVCMOS18} [get_ports gpio_bd[31]] ; ## OTG-RESETN
141+
142+
# Define SPI clock
143+
create_clock -name spi0_clk -period 40 [get_pins -hier */EMIOSPI0SCLKO]
144+
create_clock -name spi1_clk -period 40 [get_pins -hier */EMIOSPI1SCLKO]

projects/ad7134_fmc/zed/system_project.tcl

Lines changed: 2 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,5 @@
11
###############################################################################
2-
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
2+
## Copyright (C) 2019-2025 Analog Devices, Inc. All rights reserved.
33
### SPDX short identifier: ADIBSD
44
###############################################################################
55

@@ -12,7 +12,6 @@ adi_project ad7134_fmc_zed
1212
adi_project_files ad7134_fmc_zed [list \
1313
"$ad_hdl_dir/library/common/ad_iobuf.v" \
1414
"system_top.v" \
15-
"system_constr.xdc" \
16-
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]
15+
"system_constr.xdc"]
1716

1817
adi_project_run ad7134_fmc_zed

0 commit comments

Comments
 (0)