@@ -17,11 +17,11 @@ set_property -dict {PACKAGE_PIN M35 IOSTANDARD LVCMOS18
17
17
set_property -dict {PACKAGE_PIN L35 IOSTANDARD LVCMOS18 } [get_ports agc3[1] ] ; # # IO_L24N_T3U_N11_45
18
18
set_property -dict {PACKAGE_PIN P36 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100 DQS_BIAS TRUE} [get_ports clkin6_n ] ; # # IO_L14N_T2L_N3_GC_45
19
19
set_property -dict {PACKAGE_PIN P35 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100 DQS_BIAS TRUE} [get_ports clkin6_p ] ; # # IO_L14P_T2L_N2_GC_45
20
- set_property -dict {PACKAGE_PIN AM39 } [get_ports clkin8_n ] ; # # MGTREFCLK1N_120
21
- set_property -dict {PACKAGE_PIN AM38 } [get_ports clkin8_p ] ; # # MGTREFCLK1P_120
22
- set_property -dict {PACKAGE_PIN AK39 } [get_ports fpga_refclk_in_n ] ; # # MGTREFCLK0N_121
23
- set_property -dict {PACKAGE_PIN AK38 } [get_ports fpga_refclk_in_p ] ; # # MGTREFCLK0P_121
24
- set_property -dict {PACKAGE_PIN V39 } [get_ports fpga_refclk_in_replica_n ] ; # # MGTREFCLK0N_126
20
+ set_property -dict {PACKAGE_PIN AM39 } [get_ports clkin8_n ] ; # # MGTREFCLK1N_120
21
+ set_property -dict {PACKAGE_PIN AM38 } [get_ports clkin8_p ] ; # # MGTREFCLK1P_120
22
+ set_property -dict {PACKAGE_PIN AK39 } [get_ports fpga_refclk_in_n ] ; # # MGTREFCLK0N_121
23
+ set_property -dict {PACKAGE_PIN AK38 } [get_ports fpga_refclk_in_p ] ; # # MGTREFCLK0P_121
24
+ set_property -dict {PACKAGE_PIN V39 } [get_ports fpga_refclk_in_replica_n ] ; # # MGTREFCLK0N_126
25
25
set_property -dict {PACKAGE_PIN V38 } [get_ports fpga_refclk_in_replica_p ] ; # # MGTREFCLK0P_126
26
26
set_property -quiet -dict {PACKAGE_PIN AL46 } [get_ports rx_data_n[2] ] ; # # MGTYRXN2_121 FPGA_SERDIN_0_N
27
27
set_property -quiet -dict {PACKAGE_PIN AL45 } [get_ports rx_data_p[2] ] ; # # MGTYRXP2_121 FPGA_SERDIN_0_P
@@ -55,7 +55,7 @@ set_property -quiet -dict {PACKAGE_PIN T43
55
55
set_property -quiet -dict {PACKAGE_PIN T42 } [get_ports tx_data_p[4] ] ; # # MGTYTXP0_126 FPGA_SERDOUT_6_P
56
56
set_property -quiet -dict {PACKAGE_PIN AL41 } [get_ports tx_data_n[3] ] ; # # MGTYTXN3_121 FPGA_SERDOUT_7_N
57
57
set_property -quiet -dict {PACKAGE_PIN AL40 } [get_ports tx_data_p[3] ] ; # # MGTYTXP3_121 FPGA_SERDOUT_7_P
58
- set_property -quiet -dict {PACKAGE_PIN AK32 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100 } [get_ports fpga_syncin_n[0] ] ; # # IO_L14N_T2L_N3_GC_43
58
+ set_property -quiet -dict {PACKAGE_PIN AK32 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100 } [get_ports fpga_syncin_n[0] ] ; # # IO_L14N_T2L_N3_GC_43
59
59
set_property -quiet -dict {PACKAGE_PIN AJ32 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100 } [get_ports fpga_syncin_p[0] ] ; # # IO_L14P_T2L_N2_GC_43
60
60
set_property -quiet -dict {PACKAGE_PIN AT40 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100 } [get_ports fpga_syncin_n[1] ] ; # # IO_L4N_T0U_N7_DBC_AD7N_43
61
61
set_property -quiet -dict {PACKAGE_PIN AT39 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100 } [get_ports fpga_syncin_p[1] ] ; # # IO_L4P_T0U_N6_DBC_AD7P_43
@@ -93,7 +93,72 @@ set_property -dict {PACKAGE_PIN AL32 IOSTANDARD LVDS DIFF_TERM_ADV T
93
93
set_property -dict {PACKAGE_PIN AJ33 IOSTANDARD LVCMOS18 } [get_ports txen[0] ] ; # # IO_L19P_T3L_N0_DBC_AD9P_43
94
94
set_property -dict {PACKAGE_PIN AK33 IOSTANDARD LVCMOS18 } [get_ports txen[1] ] ; # # IO_L19N_T3L_N1_DBC_AD9N_43
95
95
96
- set_property -dict {PACKAGE_PIN AK35 IOSTANDARD LVCMOS18 PULLTYPE PULLUP } [get_ports vadj_1v8_pgood ] ; # # IO_T1U_N12_43_AK35
96
+ set_property -dict {PACKAGE_PIN AK35 IOSTANDARD LVCMOS18 PULLTYPE PULLUP } [get_ports vadj_1v8_pgood ] ; # # IO_T1U_N12_43_AK35
97
97
98
+ # U145 QSFP+ Module QSFP1
99
+ # set_property -dict {PACKAGE_PIN Y2} [get_ports {qsfp_rx_p[0]}]
100
+ # set_property -dict {PACKAGE_PIN Y1} [get_ports {qsfp_rx_n[0]}]
101
+ # set_property -dict {PACKAGE_PIN V7} [get_ports {qsfp_tx_p[0]}]
102
+ # set_property -dict {PACKAGE_PIN V6} [get_ports {qsfp_tx_n[0]}]
103
+ # set_property -dict {PACKAGE_PIN W4} [get_ports {qsfp_rx_p[1]}]
104
+ # set_property -dict {PACKAGE_PIN W3} [get_ports {qsfp_rx_n[1]}]
105
+ # set_property -dict {PACKAGE_PIN T7} [get_ports {qsfp_tx_p[1]}]
106
+ # set_property -dict {PACKAGE_PIN T6} [get_ports {qsfp_tx_n[1]}]
107
+ # set_property -dict {PACKAGE_PIN V2} [get_ports {qsfp_rx_p[2]}]
108
+ # set_property -dict {PACKAGE_PIN V1} [get_ports {qsfp_rx_n[2]}]
109
+ # set_property -dict {PACKAGE_PIN P7} [get_ports {qsfp_tx_p[2]}]
110
+ # set_property -dict {PACKAGE_PIN P6} [get_ports {qsfp_tx_n[2]}]
111
+ # set_property -dict {PACKAGE_PIN U4} [get_ports {qsfp_rx_p[3]}]
112
+ # set_property -dict {PACKAGE_PIN U3} [get_ports {qsfp_rx_n[3]}]
113
+ # set_property -dict {PACKAGE_PIN M7} [get_ports {qsfp_tx_p[3]}]
114
+ # set_property -dict {PACKAGE_PIN M6} [get_ports {qsfp_tx_n[3]}]
98
115
116
+ # U145 QSFP+ Module QSFP2
117
+ set_property -dict {PACKAGE_PIN T2} [get_ports {qsfp_rx_p[0]}]
118
+ set_property -dict {PACKAGE_PIN T1} [get_ports {qsfp_rx_n[0]}]
119
+ set_property -dict {PACKAGE_PIN L5} [get_ports {qsfp_tx_p[0]}]
120
+ set_property -dict {PACKAGE_PIN L4} [get_ports {qsfp_tx_n[0]}]
121
+ set_property -dict {PACKAGE_PIN R4} [get_ports {qsfp_rx_p[1]}]
122
+ set_property -dict {PACKAGE_PIN R3} [get_ports {qsfp_rx_n[1]}]
123
+ set_property -dict {PACKAGE_PIN K7} [get_ports {qsfp_tx_p[1]}]
124
+ set_property -dict {PACKAGE_PIN K6} [get_ports {qsfp_tx_n[1]}]
125
+ set_property -dict {PACKAGE_PIN P2} [get_ports {qsfp_rx_p[2]}]
126
+ set_property -dict {PACKAGE_PIN P1} [get_ports {qsfp_rx_n[2]}]
127
+ set_property -dict {PACKAGE_PIN J5} [get_ports {qsfp_tx_p[2]}]
128
+ set_property -dict {PACKAGE_PIN J4} [get_ports {qsfp_tx_n[2]}]
129
+ set_property -dict {PACKAGE_PIN M2} [get_ports {qsfp_rx_p[3]}]
130
+ set_property -dict {PACKAGE_PIN M1} [get_ports {qsfp_rx_n[3]}]
131
+ set_property -dict {PACKAGE_PIN H7} [get_ports {qsfp_tx_p[3]}]
132
+ set_property -dict {PACKAGE_PIN H6} [get_ports {qsfp_tx_n[3]}]
99
133
134
+ # REF clock
135
+ set_property -dict {PACKAGE_PIN W9} [get_ports qsfp_mgt_refclk_p]
136
+ set_property -dict {PACKAGE_PIN W8} [get_ports qsfp_mgt_refclk_n]
137
+
138
+ # 156.25 MHz MGT reference clock
139
+ create_clock -period 6.400 -name qsfp_mgt_refclk [get_ports qsfp_mgt_refclk_p]
140
+
141
+ set_property -dict {PACKAGE_PIN AM21 IOSTANDARD LVCMOS18 SLEW SLOW DRIVE 8} [get_ports qsfp_modsell]
142
+ set_property -dict {PACKAGE_PIN BA22 IOSTANDARD LVCMOS18 SLEW SLOW DRIVE 8} [get_ports qsfp_resetl]
143
+ set_property -dict {PACKAGE_PIN AN21 IOSTANDARD LVCMOS18 SLEW SLOW DRIVE 8} [get_ports qsfp_lpmode]
144
+ set_property -dict {PACKAGE_PIN AL21 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports qsfp_modprsl]
145
+ set_property -dict {PACKAGE_PIN AP21 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports qsfp_intl]
146
+
147
+ set_false_path -to [get_ports {qsfp_modsell qsfp_resetl qsfp_lpmode}]
148
+ set_output_delay 0.000 [get_ports {qsfp_modsell qsfp_resetl qsfp_lpmode}]
149
+ set_false_path -from [get_ports {qsfp_modprsl qsfp_intl}]
150
+ set_input_delay 0.000 [get_ports {qsfp_modprsl qsfp_intl}]
151
+
152
+ # QSPI flash
153
+ set_property -dict {PACKAGE_PIN AM19 IOSTANDARD LVCMOS18 DRIVE 12} [get_ports {qspi1_dq[0]}]
154
+ set_property -dict {PACKAGE_PIN AM18 IOSTANDARD LVCMOS18 DRIVE 12} [get_ports {qspi1_dq[1]}]
155
+ set_property -dict {PACKAGE_PIN AN20 IOSTANDARD LVCMOS18 DRIVE 12} [get_ports {qspi1_dq[2]}]
156
+ set_property -dict {PACKAGE_PIN AP20 IOSTANDARD LVCMOS18 DRIVE 12} [get_ports {qspi1_dq[3]}]
157
+ set_property -dict {PACKAGE_PIN BF16 IOSTANDARD LVCMOS18 DRIVE 12} [get_ports qspi1_cs]
158
+
159
+ set_false_path -to [get_ports {{qspi1_dq[*]} qspi1_cs}]
160
+ set_output_delay 0.000 [get_ports {{qspi1_dq[*]} qspi1_cs}]
161
+ set_false_path -from [get_ports qspi1_dq]
162
+ set_input_delay 0.000 [get_ports qspi1_dq]
163
+
164
+ set_property LOC CMACE4_X0Y7 [get_cells -hierarchical -filter {NAME =~ */qsfp[0].qsfp_cmac_inst/cmac_inst/inst/i_cmac_usplus_top/* && REF_NAME==CMACE4}]
0 commit comments